Slide 1

Slide 1 text

¿Qué es Hardware Abierto? Leonardo J. Caballero G. ¡Diseñe y libere su diseño!

Slide 2

Slide 2 text

Acerca de mí Marabino. Macagua. 11 años en Linux. Debianista. Canaimero. Pythonista. Plonista. http://www.flickr.com/photos/sinlentes/5570374264/

Slide 3

Slide 3 text

Problemática Actual

Slide 4

Slide 4 text

http://www.pitchengine.com/midwestuschinaassociation/chinatradewhichwayisthewrongway Dependencia tecnológica extrajera

Slide 5

Slide 5 text

Proceso de Producción

Slide 6

Slide 6 text

Altos costos de producción

Slide 7

Slide 7 text

El conocimiento lo poseen pocas empresas

Slide 8

Slide 8 text

Inversión en la industria

Slide 9

Slide 9 text

Alianza "Trusted Computing"

Slide 10

Slide 10 text

Digital Rights Management - DRM

Slide 11

Slide 11 text

Historia del Hardware Abierto

Slide 12

Slide 12 text

Homebrew Computer Club http://www.wired.com/magazine/2010/04/ff_hackers/all/ http://en.wikipedia.org/wiki/Homebrew_Computer_Club Los 70

Slide 13

Slide 13 text

Homebrew Computer Club http://www.wired.com/magazine/2010/04/ff_hackers/all/ http://en.wikipedia.org/wiki/Homebrew_Computer_Club Los 70

Slide 14

Slide 14 text

http://es.wikipedia.org/wiki/FPGA Los 90 Field Programmable Gate Array - FPGA http://www.flickr.com/photos/danstrother/4870462415/

Slide 15

Slide 15 text

Open Design Circuit http://opencollector.org/history/OpenDesignCircuits/reinoud_announce Los 90

Slide 16

Slide 16 text

Guitarra Zoybar TOR http://www.zoybar.net/group/zoybartoritsreal

Slide 17

Slide 17 text

Aurora - Open Source DJ-Style Controller http://www.auroramixer.com/

Slide 18

Slide 18 text

Apertus Open Cinema https://www.apertus.org/

Slide 19

Slide 19 text

Eyewriter - Project 001 http://www.notimpossiblelabs.com/

Slide 20

Slide 20 text

Definición y clasificación Según su naturaleza

Slide 21

Slide 21 text

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cont8 is port (clk : in std_logic; -- Reloj clear : in std_logic; q : out std_logic_vector (7 downto 0)); --Salida end cont8; architecture beh of cont8 is signal cuenta : std_logic_vector (7 downto 0); Proceso de simulación y síntesis Diseño en HDL Hardware reconfigurable

Slide 22

Slide 22 text

Hardware estático, es decir en síntesis Hardware estático

Slide 23

Slide 23 text

Definición y clasificación Según su filosofía Open Hardware Open source hardware Free hardware design Libre hardware design Free hardware

Slide 24

Slide 24 text

Licenciamientos https://www.flickr.com/photos/kalexanderson/6709759539/

Slide 25

Slide 25 text

➔ Grupos que están usando la GPL. ➔ Free Model Foundry. ➔ ESA Sparc. ➔ Grupos que están otras licencias. ➔ Free-IP Project (al estilo MIT) ➔ LART (al estilo MIT). ➔ GNUBook (basada en la GNU GPL, con las adiciones de los derechos ambientales y humanos). Licenciamientos

Slide 26

Slide 26 text

Grupos que están desarrollando nuevas licencias. Simputer GPL, licencia de hardware es basada en la licencia GPL. Freedom CPU. OpenIPCores OHGPL. The Open NDA. OpenPPC (basada en Apple Public Source License). Hardware Design Public License Open Collector, basada en la GPL. Licenciamientos

Slide 27

Slide 27 text

Licencias utilizadas para hardware reconfigurable. LGPL - GNU Lesser General Public License GPL - GNU General Public License FREE IP GENERAL PUBLIC LICENSE DSL - Design Science License. Licencias utilizadas para hardware estático. SIMPUTER GENERAL PUBLIC LICENSE. Handy Board, Ballonboard. Licenciamientos

Slide 28

Slide 28 text

Licencias utilizadas para hardware estático :: Continuación. Ronja - GPL con modificaciones para abarcar el hardware. The LART hardware license. GPL - GNU General Public License. DSL - Design Science License. Licenciamientos

Slide 29

Slide 29 text

Comercialización

Slide 30

Slide 30 text

➔Un diseño de hardware puede ser implementado por una empresa para su posterior comercialización. ➔La única premisa: mantener el diseño libre. Nuevos empredimientos http://www.ac-sipro.com/

Slide 31

Slide 31 text

➔Dispositivo electrónico que mide movimientos sísmicos y realiza pruebas de vacío para detectar el correcto estado de las redes de gas luego de producirse un terremoto, evitando así los incendios. http://www.ac-sipro.com/

Slide 32

Slide 32 text

➔ Framework para el desarrollo y construcción de vehículos. ➔ Listo para producirse a gran escala. ➔ Cumple con todas las regulaciones estándar para circulación. http://www.osvehicle.com/

Slide 33

Slide 33 text

➔ Son una de las necesidades básicas del proyecto hardware abierto, ya que se debe generar un estándar para intercambiar los diseños y para que estos sean legibles por todos ➔ Con respecto a los lenguajes HDL, no existe mayor problema ya que éstos son realmente archivos de texto ASCII. ➔ Una de las primeras soluciones fue la de trabajar con imágenes JPEG y no con los propios diseños. ➔ Otra de las soluciones aportadas se basa en la utilización de herramientas CAD comunes y libres. Modelos de intercambio

Slide 34

Slide 34 text

http://www.opencores.org/ OpenCores.org

Slide 35

Slide 35 text

http://www.ohwr.org/ Open Hardware Repository

Slide 36

Slide 36 text

Hardware estático

Slide 37

Slide 37 text

Arduino

Slide 38

Slide 38 text

Hardware reconfigurable

Slide 39

Slide 39 text

Tarjeta desarrollada, GR-XC3S-1500 LEON Procesador LEON 3 LEON

Slide 40

Slide 40 text

Arquitecturas de Hardware

Slide 41

Slide 41 text

Freedom-CPU

Slide 42

Slide 42 text

Shipset ULTRASPARC Diagrama de UltraSPARC T1 UltraSPARC T1

Slide 43

Slide 43 text

Hardware estático desarrollado en base al hardware reconfigurable de Simply RISC S1 Core Simply RISC S1 Core

Slide 44

Slide 44 text

Proyectos :: Proyectos :: PegasosPPC PegasosPPC PegasosPPC

Slide 45

Slide 45 text

GNUbook

Slide 46

Slide 46 text

No content

Slide 47

Slide 47 text

No content

Slide 48

Slide 48 text

No content

Slide 49

Slide 49 text

No content

Slide 50

Slide 50 text

No content

Slide 51

Slide 51 text

No content

Slide 52

Slide 52 text

No content

Slide 53

Slide 53 text

No content

Slide 54

Slide 54 text

No content

Slide 55

Slide 55 text

No content

Slide 56

Slide 56 text

No content

Slide 57

Slide 57 text

No content

Slide 58

Slide 58 text

No content

Slide 59

Slide 59 text

Componente desarrollado Mapa de componente Open Graphics Project

Slide 60

Slide 60 text

Proyectos :: Proyectos :: Open Graphics Project Open Graphics Project

Slide 61

Slide 61 text

“Robot de piezas de Lego, motores servos Futaba 3003 con tarjeta CT6811 y CT293+” Microbot Tritt

Slide 62

Slide 62 text

Impresora 3d RepRap

Slide 63

Slide 63 text

Impresora 3d RepRap

Slide 64

Slide 64 text

RepRap Prusa i3

Slide 65

Slide 65 text

RepRap Delta

Slide 66

Slide 66 text

RepRap Morgan

Slide 67

Slide 67 text

RepRap Prusa i3

Slide 68

Slide 68 text

RepRap Mendel

Slide 69

Slide 69 text

Free Telephony Project

Slide 70

Slide 70 text

Comunidades de Hardware Abierto

Slide 71

Slide 71 text

http://openhardware.net/ OpenHardware.net

Slide 72

Slide 72 text

http://www.opencollector.org/ OpenCollector.org

Slide 73

Slide 73 text

http://www.open-hardware.org/ Open Hardware Certification Program

Slide 74

Slide 74 text

http://www.oshwa.org/ Open Source Hardware Association

Slide 75

Slide 75 text

http://www.ohanda.org/ Open Source Hardware and Design Alliance

Slide 76

Slide 76 text

http://www.oshug.org/ Open Source Hardware User Group - OSHUG

Slide 77

Slide 77 text

http://www.geda-project.org/ gEDA Project

Slide 78

Slide 78 text

http://www.gpleda.org/ gEDA (GPL Electronic Design Automation)

Slide 79

Slide 79 text

http://www.hfday.org/ Hardware Freedom Day

Slide 80

Slide 80 text

http://www.pinguino.cc/ Pinguino

Slide 81

Slide 81 text

http://www.arduino.cc/ Arduino

Slide 82

Slide 82 text

https://www.raspberrypi.org/ Raspberry Pi

Slide 83

Slide 83 text

Protege, defiende la SOBERANÍA http://www.flickr.com/photos/lubrio/7670139524/ Ventajas

Slide 84

Slide 84 text

Incentiva AUTONOMÍA tecnológica Ventajas

Slide 85

Slide 85 text

Tiene comunidades de diseño, desarrollo y brindando soporte http://www.flickr.com/photos/lubrio/7670162338/ Ventajas

Slide 86

Slide 86 text

Fomenta a que el hardware sea de estándares abiertos. Ventajas

Slide 87

Slide 87 text

La reutilización y la adaptación de diseños Ventajas

Slide 88

Slide 88 text

Promueve competencia profesional, nos hace mejores ingenieros, inventores e innovadores. Ventajas

Slide 89

Slide 89 text

Desafiá la obsolescencia programada, lo que hacemos nos durará más. Ventajas

Slide 90

Slide 90 text

Las compañías se ahorran costes, tiempos de diseño http://listado.mercadolibre.com.ve/arduino-pinguino Ventajas

Slide 91

Slide 91 text

Evita la alianza "Trusted Computing" y los DRM. Ventajas

Slide 92

Slide 92 text

El mundo del hardware está plagado de patentes http://www.proyectoglass.com/2013/02/nueva-patente-de-las-google-glass.html Desventajas

Slide 93

Slide 93 text

La producción, no cualquiera podrá realizar hardware http://www.flickr.com/photos/lubrio/7670162338/ Desventajas

Slide 94

Slide 94 text

Costo de producción Desventajas

Slide 95

Slide 95 text

Trabajo Especial de Grado - Educación Superior . León Vilchez Adela Josefina, “Implementación de un Software para Manipular Dispositivos de Robótica Utilizando MPLAB y el Microcontrolador PIC16F84”. Colegio Universitario “Dr. Rafael Belloso Chacín”. Escuela de Ingeniería. Carrera de Informática. Maracaibo, 2002. Situación actual en Venezuela

Slide 96

Slide 96 text

Empresas del sector privado. Empresas del sector privado. Portátil Síragon Canaima NB 4010p Escritorio Síragon PC Edición Corporativa Situación actual en Venezuela

Slide 97

Slide 97 text

Estado Venezolano. Portátil VIT M1700 Escritorio VIT C2660 Situación actual en Venezuela

Slide 98

Slide 98 text

Centro Nacional de Desarrollo e Investigación en Centro Nacional de Desarrollo e Investigación en Tecnologías Tecnologías Libres Libres Instrumento de apoyo al impulso nacional de tecnologías de la información y Instrumento de apoyo al impulso nacional de tecnologías de la información y comunicación con estándares abiertos, promoviendo la investigación y desarrollo comunicación con estándares abiertos, promoviendo la investigación y desarrollo de productos innovadores que conduzcan a la soberanía tecnológica del país de productos innovadores que conduzcan a la soberanía tecnológica del país I + D en Software, Hardware y Telecomunicaciones. I + D en Software, Hardware y Telecomunicaciones. Área de hardware: Área de hardware: Fábrica de Hardware Libre. Fábrica de Hardware Libre. Ingeniería de Diseño Conceptual de Arquitecturas. Ingeniería de Diseño Conceptual de Arquitecturas. Circuitos impresos. Circuitos impresos. Estado Venezolano. Estado Venezolano. Situación actual en Venezuela

Slide 99

Slide 99 text

I + D en Software, Hardware y Telecomunicaciones. Área de hardware: Microscopia. Diseño de Esquemáticos y Optimización Computarizada. Capacitación y formación de recurso humano (estudiantes, PyMES, Cooperativas), a través de la Academia de Software Libre. Centro Nacional de Desarrollo e Investigación en Tecnologías Libres Estado Venezolano. Estado Venezolano. Situación actual en Venezuela

Slide 100

Slide 100 text

“ “People who are really serious People who are really serious about software should make about software should make their own hardware” their own hardware” Alan Kay. Alan Kay.

Slide 101

Slide 101 text

No content

Slide 102

Slide 102 text

[email protected] ¿Preguntas? @macagua @SomosCovantec https://www.flickr.com/photos/sparetomato/2641110677/

Slide 103

Slide 103 text

Copyright (c) 2005 - 2014 Leonardo J. Caballero G. Copyright (c) 2005 - 2014 Leonardo J. Caballero G. Permission is granted to copy, distribute and/or modify this Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". the section entitled "GNU Free Documentation License". Licencia

Slide 104

Slide 104 text

Puede obtener una copia de la licencia "GNU Free Documentation Puede obtener una copia de la licencia "GNU Free Documentation License" en los ficheros llamados "copyright.txt" en ingles, License" en los ficheros llamados "copyright.txt" en ingles, "copyright.es.txt" en español o en los siguientes "copyright.es.txt" en español o en los siguientes s sitios itios en Internet en Internet: : http://www.gnu.org/copyleft/fdl.html http://www.gnu.org/copyleft/fdl.html http://www.fsf.org/licensing/licenses/fdl.html http://www.fsf.org/licensing/licenses/fdl.html GNU Free Documentation License