Upgrade to Pro
— share decks privately, control downloads, hide ads and more …
Speaker Deck
Features
Speaker Deck
PRO
Sign in
Sign up for free
Search
Search
UVVMをさわってみた
Search
tethys_seesaa
June 24, 2023
Technology
0
630
UVVMをさわってみた
tethys_seesaa
June 24, 2023
Tweet
Share
More Decks by tethys_seesaa
See All by tethys_seesaa
RTLを語る会(17)あいさつ
tethys_seesaa
0
450
Vivado2019.2でUVMを使った話
tethys_seesaa
0
860
RTLを語る会(16)あいさつ
tethys_seesaa
0
500
あいさつ
tethys_seesaa
0
570
SystemVerilog を使用したXilinx FPGA開発
tethys_seesaa
0
2.6k
Other Decks in Technology
See All in Technology
個人CLAUDE.md紹介と設定から学んだこと/introduce-my-claude-md
shibayu36
0
160
クラウドセキュリティを支える技術と運用の最前線 / Cutting-edge Technologies and Operations Supporting Cloud Security
yuj1osm
2
250
Bye-Bye Query Spaghetti: Write Queries You'll Actually Understand Using Pipelined SQL Syntax
tobiaslampertlotum
0
120
AWS環境のリソース調査を Claude Code で効率化 / aws investigate with cc devio2025
masahirokawahara
2
1k
エラーとアクセシビリティ
schktjm
0
270
生成AI時代のデータ基盤
shibuiwilliam
4
2.2k
実践データベース設計 ①データベース設計概論
recruitengineers
PRO
4
2k
見てわかるテスト駆動開発
recruitengineers
PRO
6
2.4k
攻撃と防御で実践するプロダクトセキュリティ演習~導入パート~
recruitengineers
PRO
3
1.8k
Language Update: Java
skrb
2
200
Browser
recruitengineers
PRO
8
2.2k
大「個人開発サービス」時代に僕たちはどう生きるか
sotarok
9
3.9k
Featured
See All Featured
VelocityConf: Rendering Performance Case Studies
addyosmani
332
24k
The World Runs on Bad Software
bkeepers
PRO
70
11k
What’s in a name? Adding method to the madness
productmarketing
PRO
23
3.6k
Learning to Love Humans: Emotional Interface Design
aarron
273
40k
Bootstrapping a Software Product
garrettdimon
PRO
307
110k
Responsive Adventures: Dirty Tricks From The Dark Corners of Front-End
smashingmag
252
21k
Product Roadmaps are Hard
iamctodd
PRO
54
11k
Typedesign – Prime Four
hannesfritz
42
2.8k
Making the Leap to Tech Lead
cromwellryan
134
9.5k
CSS Pre-Processors: Stylus, Less & Sass
bermonpainter
358
30k
Fashionably flexible responsive web design (full day workshop)
malarkey
407
66k
Connecting the Dots Between Site Speed, User Experience & Your Business [WebExpo 2025]
tammyeverts
8
510
Transcript
UVVMをさわってみた @tethys_seesaa
はじめに • RTL設計・検証をVHDLで行っ ている使っている人います か? • Verilog/SystemVerilogを 一切使用しない • 特に検証
UVVMとは • UVVM =Universal VHDL Verification Methodology • VHDLの検証メソドロジ+検証IP •
OSS(Apache-2.0 license)、GitHubから入手可能 • https://github.com/UVVM/UVVM • ノルウェーのEmLogic社が主に開発を進めている • 動作確認済みのVHDLシミュレータ • ModelSim/QuestaSim(vsim) • Vivado Sim(xsim) • GHDL • nvc
UVVMユーザーは増加中?
UVVMが想定するテストベンチのニーズ • 単体のテスト • 複数のインターフェイスの同時動作を処理する必要がない • DUT(検証対象)と1対1で簡単な動作確認 • 単一のテストシーケンサプロセスを備えた単純なテストベンチ のみで
OK • -> UVVMのBFM(Bus Function Model)で対応 • 複合テスト • 複数のインターフェイスを同時に制御・チェックする必要がある • DUTに潜在的なサイクル関連のコーナーケースがあり、それをチェッ クする必要がある。 • 複数のスレッドやプロセスを同時実行が必要 • -> UVVMのVVC(VVC : VHDL Verification Component)で対応
BFMベースのテストベンチ • テストハーネスなし • テストシーケンサはDUT信号に直接アクセスできる。 • BFMはp_mainで順番に実行されるシーケンシャルなプロシー ジャである。
VVCベースのテストベンチ • 複数のI/Fで同時動作 • テストシーケンサは各VVCにアクセス • VVCは内部にインタープリターとコマンドキュー、エクゼキュータを 持ちトランザクションを発行 • シーケンサから複雑なシーケンスを制御できるらしい?
VVCベースでスコアボードを挿入 • スコアボードの機能もあり、高度な期待値チェックもできそう?
UVVMのデモをさわってみる • /UVVM/bitvis_uart/script にUARTのデモがある • zsh ./compile_all_and_simulate.sh vsim • Questa(Intel
Starter FPGA Edition)でシミュレーションができる • Vivado Simはスタックトレースを吐きました
UVVM 検証コ ンポーネント • 以下のコンポーネントが同梱 • カバレッジ、スコアボード等の検証パーツ • I/F系のVIP
UVVMについての感想 (ちょっと触っただけですか) • VHDL OnlyでFPGAを設計している方には向いているかも • ブロックレベルの検証でちょっとした時間短縮が望めるかもしれない • VVCベースの検証を行うには、サポートが必要な印象 •
1人でやるには難しい • ASIC向けにはまだ向いていない • envやsequencerに相当するパーツが見受けられない • スケーラブルな検証環境を構築できるか疑問 • OSVVMはどうなってしまうんや…