Upgrade to Pro
— share decks privately, control downloads, hide ads and more …
Speaker Deck
Features
Speaker Deck
PRO
Sign in
Sign up for free
Search
Search
UVVMをさわってみた
Search
tethys_seesaa
June 24, 2023
Technology
0
590
UVVMをさわってみた
tethys_seesaa
June 24, 2023
Tweet
Share
More Decks by tethys_seesaa
See All by tethys_seesaa
RTLを語る会(17)あいさつ
tethys_seesaa
0
430
Vivado2019.2でUVMを使った話
tethys_seesaa
0
850
RTLを語る会(16)あいさつ
tethys_seesaa
0
500
あいさつ
tethys_seesaa
0
560
SystemVerilog を使用したXilinx FPGA開発
tethys_seesaa
0
2.5k
Other Decks in Technology
See All in Technology
Operating Operator
shhnjk
1
590
Geminiとv0による高速プロトタイピング
shinya337
1
270
AIの全社活用を推進するための安全なレールを敷いた話
shoheimitani
2
530
ゼロからはじめる採用広報
yutadayo
3
960
american aa airlines®️ USA Contact Numbers: Complete 2025 Support Guide
aaguide
0
210
面倒な作業はAIにおまかせ。Flutter開発をスマートに効率化
ruideengineer
0
260
AI時代の開発生産性を加速させるアーキテクチャ設計
plaidtech
PRO
3
160
ネットワーク保護はどう変わるのか?re:Inforce 2025最新アップデート解説
tokushun
0
210
How Do I Contact HP Printer Support? [Full 2025 Guide for U.S. Businesses]
harrry1211
0
120
SmartNewsにおける 1000+ノード規模 K8s基盤 でのコスト最適化 – Spot・Gravitonの大規模導入への挑戦
vsanna2
0
140
AWS認定を取る中で感じたこと
siromi
1
190
KubeCon + CloudNativeCon Japan 2025 Recap
ren510dev
1
390
Featured
See All Featured
Embracing the Ebb and Flow
colly
86
4.7k
"I'm Feeling Lucky" - Building Great Search Experiences for Today's Users (#IAC19)
danielanewman
229
22k
The Art of Programming - Codeland 2020
erikaheidi
54
13k
VelocityConf: Rendering Performance Case Studies
addyosmani
332
24k
Git: the NoSQL Database
bkeepers
PRO
430
65k
The Invisible Side of Design
smashingmag
301
51k
Design and Strategy: How to Deal with People Who Don’t "Get" Design
morganepeng
130
19k
Designing for Performance
lara
610
69k
I Don’t Have Time: Getting Over the Fear to Launch Your Podcast
jcasabona
32
2.4k
Done Done
chrislema
184
16k
GraphQLとの向き合い方2022年版
quramy
49
14k
No one is an island. Learnings from fostering a developers community.
thoeni
21
3.4k
Transcript
UVVMをさわってみた @tethys_seesaa
はじめに • RTL設計・検証をVHDLで行っ ている使っている人います か? • Verilog/SystemVerilogを 一切使用しない • 特に検証
UVVMとは • UVVM =Universal VHDL Verification Methodology • VHDLの検証メソドロジ+検証IP •
OSS(Apache-2.0 license)、GitHubから入手可能 • https://github.com/UVVM/UVVM • ノルウェーのEmLogic社が主に開発を進めている • 動作確認済みのVHDLシミュレータ • ModelSim/QuestaSim(vsim) • Vivado Sim(xsim) • GHDL • nvc
UVVMユーザーは増加中?
UVVMが想定するテストベンチのニーズ • 単体のテスト • 複数のインターフェイスの同時動作を処理する必要がない • DUT(検証対象)と1対1で簡単な動作確認 • 単一のテストシーケンサプロセスを備えた単純なテストベンチ のみで
OK • -> UVVMのBFM(Bus Function Model)で対応 • 複合テスト • 複数のインターフェイスを同時に制御・チェックする必要がある • DUTに潜在的なサイクル関連のコーナーケースがあり、それをチェッ クする必要がある。 • 複数のスレッドやプロセスを同時実行が必要 • -> UVVMのVVC(VVC : VHDL Verification Component)で対応
BFMベースのテストベンチ • テストハーネスなし • テストシーケンサはDUT信号に直接アクセスできる。 • BFMはp_mainで順番に実行されるシーケンシャルなプロシー ジャである。
VVCベースのテストベンチ • 複数のI/Fで同時動作 • テストシーケンサは各VVCにアクセス • VVCは内部にインタープリターとコマンドキュー、エクゼキュータを 持ちトランザクションを発行 • シーケンサから複雑なシーケンスを制御できるらしい?
VVCベースでスコアボードを挿入 • スコアボードの機能もあり、高度な期待値チェックもできそう?
UVVMのデモをさわってみる • /UVVM/bitvis_uart/script にUARTのデモがある • zsh ./compile_all_and_simulate.sh vsim • Questa(Intel
Starter FPGA Edition)でシミュレーションができる • Vivado Simはスタックトレースを吐きました
UVVM 検証コ ンポーネント • 以下のコンポーネントが同梱 • カバレッジ、スコアボード等の検証パーツ • I/F系のVIP
UVVMについての感想 (ちょっと触っただけですか) • VHDL OnlyでFPGAを設計している方には向いているかも • ブロックレベルの検証でちょっとした時間短縮が望めるかもしれない • VVCベースの検証を行うには、サポートが必要な印象 •
1人でやるには難しい • ASIC向けにはまだ向いていない • envやsequencerに相当するパーツが見受けられない • スケーラブルな検証環境を構築できるか疑問 • OSVVMはどうなってしまうんや…